Skip to Main Content

      

Ansys博客

October 31, 2023

片上系统:如何制造更小型化、更高速的器件

在电子领域,核心宗旨是追求“更高的性能、更低的功耗、更小的占用面积”。特别是在平板电脑和智能手机等便携式设备中,极其复杂的技术必须适配到尽可能小型化的空间,并实现最低的功耗。工程师通过将所有必要的组件整合到一个被称为片上系统(SoC)的统一封装中,无需使用多个集成电路,即可制造既高速又小巧的器件。

什么是片上系统?

片上系统是一种将系统所需的全部组件压缩到同一个芯片上的集成电路。SoC无需独立的大型系统组件,有助于简化电路板设计,从而在不影响系统功能的情况下改善功耗和运行速度。SoC中集成的组件包括:

  • 数据处理单元
  • 嵌入式存储器
  • 图形处理单元(GPU)
  •  USB接口
  • 视频和音频处理

从数据中心、人工智能(AI)和高性能计算(HPC)等有线应用,到手机和可穿戴设备等电池供电设备,紧凑型SoC已成为各市场不可或缺的解决方案。

System-on-Chip (SOC) Diagram

片上系统的示意图

SoC的发展历史

随着更小型化的设备在我们的日常生活中变得如此普遍,我们甚至很难想象没有SoC的时代。但其实直到二十世纪七十年代,将整个系统安装到单个微芯片上的概念才首次成为现实。

二十世纪七十年代:据计算机历史博物馆的记录,第一个片上系统于1974年出现在一只液晶手表上。在那之前,微处理器还是需要外部芯片支持的独立芯片。

二十世纪八九十年代:半导体制造技术的进步使得在单个芯片上集成更多组件成为可能。混合信号集成支持芯片可以同时处理模拟和数字信号。

二十一世纪初:SoC开始集成Wi-Fi、蓝牙和蜂窝调制解调器,从而将无线通信引入我们的移动设备。强大的处理器和图形处理功能的加入,使智能手机成为了一种全新的生活方式。 

如今:SoC正变得越来越专业化,并且正在从移动领域扩展到汽车系统、可穿戴设备、工业自动化等众多领域。其集成的新功能包括人工智能(AI)、机器学习(ML)和边缘计算。

片上系统应用

得益于能够针对高度专业化的要求进行定制,SoC可用于各种不同的应用,从儿童玩具、门铃摄像头到工业发动机等,不一而足。SoC的一些应用包括:

  • 移动设备:SoC可将无线连接和多媒体功能集成到智能手机和平板电脑中。
  • 汽车系统:所有类型的汽车都使用SoC来驱动导航系统、传感器接口、信息娱乐系统和危险规避系统。
  • 物联网(IoT):凭借在低功耗用例中的高效率,SoC广泛用于可穿戴设备和智能家居监控器等物联网设备。
  • 网络设备:在路由器、交换机和网络设备中,SoC集成了数据包处理功能、安全功能和专用组件,以实现高效的数据路由。
  • 消费类电子:SoC可为游戏机和数字媒体播放器等各种常见的多媒体设备提供图形处理功能和连接功能。
  • 工业应用:SoC支持实时处理、连接和接口功能,有助于实现高效、智能的工业解决方案。
  • 医疗设备:SoC通过提高病人监护系统、诊断设备和可植入设备的处理能力和连接功能,帮助改善患者护理。

SoC设计:利与弊

将多个组件集成到单个芯片上具有众多优势。但是,在确定SoC是否为器件的最佳解决方案时,必须将这些优势与这种复杂设计的挑战进行权衡。

片上系统的优势

  1. 空间优化:SoC比多个分立组件所占用的空间更小,使更小型化器件设计成为可能。
  2. 电源效率:利用SoC取代大型组件和电路,不仅可显著降低功耗,而且能够实现所需的PPA(性能、功耗和面积)指标。
  3. 更低成本:相比于多个独立的芯片组而言,单个SoC芯片的成本更低。
  4. 可靠性:单个SoC具有更少的连接,因此比通过基板连接的多部件系统更可靠。
  5. 性能:由于信号一直在芯片上传输,因此SoC可以实现比多部件解决方案更高的性能和速度。

片上系统的缺点

  1.  单点故障:由于所有组件都在同一个芯片中,一个组件中的故障会影响整个系统(这也限制了升级)。
  2. 产品上市进程:与现成的组件相比,设计定制SoC需要更多的专业技术和专业化工具,其开发时间和成本也随之增加。只有当SoC的市场大到足够覆盖这些更高的成本时,才有一定的收益空间。
  3. 混合模拟/数字:由于SoC上的所有组件均采用统一工艺技术制造而成,因此无法针对模拟部分使用最佳技术。这会导致模拟性能降低,也因此使SoC更适合数字应用。
  4. 灵活性:SoC非常适合其预期任务,但对此外其它任务的适用范围有限。

片上系统的设计流程

与集成电路类似,片上系统的设计工作流程包括计划、优化和生产等多个阶段。每个阶段都需要系统架构师、设计工程师和制造商等专业人员的紧密协作。SoC设计流程的主要阶段包括:

  1. 规范:明确定义SoC的预期功能。应用、性能目标、功率限制等要求是什么?
  2. 逻辑设计:用硬件描述语言(HDL)描述预期行为,并仿真功能行为,以验证其是否正确。
  3. 逻辑综合:自动将HDL行为描述转换为晶体管元件及其互连列表,又被称为“网表”。
  4. 物理设计:选择合适的晶体管组件,确定它们在芯片上的物理位置,以及它们之间的互连导线的轨迹。
  5. 签核:利用Ansys RedHawk-SC等验证软件对设计进行分析和验证,以确保正确的功能和性能。验证布局符合所有可制造性要求。芯片无法进行修复,因此如果设计中出现任何错误,则必须废弃所有制造出来的芯片,并重新修改设计。这就是为什么在制造之前进行检查和验证如此重要。
  6. 流片:生成用于创建布局光掩模的最终图形文件,并发送给制造商进行生产。
  7. 测试和封装:测试以确认SoC符合规范并可直接使用。然后将硅芯片封装在保护性封装中。

SoC设计和仿真

在日益增长的空间挑战下,业界亟需实现更智能、更高速的电子设备,这也将持续推动SoC的创新需求。为了满足市场需求,SoC变得越来越复杂,因此设计工程师应该遵循体系化的方法来设计和验证这些芯片。而为了成功创建满足所需设计和制造规范的SoC设计,仿真将成为关键所在。供电网络越来越复杂,备受关注的低功耗要求使供电电压不断降低。因此,信号完整性和电源完整性的设计签核至关重要。

如需进一步了解数字IC、SoC和不同的签核技术,敬请观看本次网络研讨会点播视频:“利用Ansys RedHawk和SeaScape平台重新定义电源完整性签核方法。”

了解Ansys提供的产品与服务

立即联系我们

* = 必填项

感谢您的联系!

我们乐意随时解答您的问题,并期待与您进一步沟通。Ansys销售团队人员将很快与您联系。

页脚图片