Skip to Main Content

Ansys Totem/Totem-SC
AMS Power Integrity and Reliability Signoff

Ansys Totem è la soluzione leader del settore, affidabile e comprovata per il sign-off di problematiche di affidabilità e voltage drop per progetti analogici e mixed-signal. Ansys Totem è sviluppato su una infrastruttura di computazione elestica nativa per il cloud.

SOLUZIONE per EM/IR Drop

Soluzione completa di sign-off per analisi di power noise e affidabilità per progetti analogici e mixed signal

Ansys Totem è la soluzione affidabile di riferimento per analisi di sign-off per voltage drop e elettromigrazione multi-fisica per progetti a livello di transistor e a segnale misto. È certificato da tutte le principali fonderie per nodi tecnologici finFET fino ai 3nm con un record di migliaia di tapeout. Totem-SC è una versione di Totem nativa per il cloud, basata sull'architetura SeaScape, offre elevata velocità e capacità per gestire anche le analisi dei design più grandi.

  • Soluzione per scariche elettrostatiche (ESD) con Ansys PathFinder-SC
    Soluzione per scariche elettrostatiche (ESD) con Ansys PathFinder-SC
  • Creazione di modelli IP per Ansys RedHawk-SC
    Creazione di modelli IP per Ansys RedHawk-SC
  • Simulazione combinata di analogico e digitale
    Simulazione combinata di analogico e digitale
  • Totem-SC è una versione di Totem per il cloud computing e big-data
    Totem-SC è una versione di Totem per il cloud computing e big-data
Soluzione completa per verifiche di sign-off del voltage drop e dell'affidabilità per progetti analogici e mixed-signal

Specifiche brevi

Ansys Totem e Totem-SC sono piattaforme per le analisi di power noise e affidabilità a livello di transistor per IP analogici mixed-signal e progetti full custom. Possono creare modelli IP per le verifiche di power integrity a livello SOC con RedHawk-SC e generano modelli compatti della rete di distribuzione dell'allimentazione del design per simulazioni a livello di sistema.

  • Analisi dalle fasi iniziali di prototipo della griglia di alimentazione
  • Thermal-Aware EM
  • Statistical EM Budgeting
  • Milioni di transistor
  • Instant-Start Cloud Deployment
  • Analisi incrementale veloce
  • 'What-if' analisi
  • Libreria di materiali personalizzati
  • Estrazione della griglia di alimentazione
  • Analisi di self-heat per le interconnessioni
  • Rumore del substrato
  • Analisi RDSON
  • Analisi delle debolezze della griglia di alimentazione
  • Digitale e analogico in una singola simulazione

Digitale e analogico in una singola simulazione

Gli ingegneri di NXP progettano un chip per autoradio digitale con costi inferiori e una qualità audio superiore.

2020-11-ansys-stock-20121122_0009-horizontal.png

Le analisi di signoff riducono i rischi di progetto evitando costosi errori nel silicio. La simulazione multifisica accurata migliora le prestazioni di progettazione eliminando gli sprechi di margine e migliorando la correlazione del silicio.

L’affidabile analisi multifisica per le verifiche sign-off di Totem è un modo efficace per ridurre i rischi legati a progetti e tecnologie. I suoi algoritmi sono certificati nell'accuratezza da tutte le principali fonderie per tutti i processi finFET fino a 3nm e sono collaudati in migliaia di tapeout.

Totem-SC è basato sull'architettura SeaScape™ nativa per il cloud, per l'analisi completa di power integrity di progetti di dimensioni molto grandi. Totem-SC può utilizzare migliaia di core CPU con requisiti di memoria modesti per offrire risultati rapidi con la stessa accuratezza di Totem.

Le analisi avanzate di affidabilità di Totem, come il Thermal-aware EM e il budget statistico EM, migliorano la sicurezza dei progetti automotive. Totem fornisce inoltre valore in tutte le fasi, dalle prime fasi di prototipazione di un IC fino a livello di sistema. L'analisi nelle fasi preliminari del progetto consente ottimizzazioni più semplici da realizzare e di maggiore impatto rispetto a quelle possibili al momento delle verifiche di sign-off. I risultati di simulazione correlati al silicio e certificati dalle fonderie offrono ai progettisti la sicurezza necessaria per ottenere prestazioni più elevate e ridurre il consumo energetico evitando sprechi e costosi over-design.

Affidabilità elettronica

Affidabilità elettronica

Scopri come gli strumenti di affidabilità elettronica integrati di Ansys possono aiutarti a risolvere le più grandi sfide di affidabilità termica, elettrica e meccanica.

Impianti elettrici del veicolo

Impianti elettrici del veicolo

L'ottimizzazione della progettazione di sistemi elettrici per il settore automobilistico con la simulazione consente agli ingegneri automobilistici di fornire i veicoli autonomi e connessi del futuro.

1093817018

Soluzione EM/IR analogica mixed-signal, comprovata e certificata dalle fonderie

Ansys Totem è una piattaforma per analisi di power noise e affidabilità a livello di transistor per una verifica completa della power integrity su IP analogici mixed-signal e design full-custom. Totem può creare modelli per gli IP per le verifiche a livello SoC utilizzando RedHawk-SC. Le analisi Totem spaziano dai primi prototipi alle verifiche di sign-off e possono gestire una varietà di stili di progettazione come SerDes, convertitori di dati, IC di gestione dell'alimentazione, memorie integrate, DRAM, Flash, FPGA e sensori di immagine. Analizza il rumore del substrato, l'RDSON, il self-heat e le scariche elettrostatiche (con Ansys PathFinder™). L'architettura di elaborazione elastica nativa per il cloud di Totem-SC è in grado di gestire progetti di grandi dimensioni con un carico di lavoro limitato della memoria.

 

Caratteristiche principali

nsys Totem e Totem-SC impostano lo standard per le verifiche di signoff analogiche e mixed-signal

• Verifiche dalle prime fasi di prototipazione
•Diversi milioni di transistor in un'analisi flat
•Elaborazione nel cloud con Totem-SC
•analisi incrementale e what-if
•Simulazione combinata del digitale e analogico
•attività vettoriale o non vettoriale
•Estrazione integrata della griglia di alimentazione 

Ansys Totem/Totem-SC offrono funzionalità quali analisi della debolezza della rete di alimentazione, vie mancanti, controlli P2P e una varietà di analisi IR ed EM statiche e dinamiche dalle fasi iniziali che possono evidenziare debolezze del progetto nelle prime fasi di progettazione prima di raggiungere uno stadio "LVS clean". Questi consentono ai progettisti di decidere in merito alla pianificazione della rete di distribuzione dell'alimentazione, al posizionamento dei bump, all'ottimizzazione delle strutture di decoupling, alla EM sulle reti critiche, ecc.

Ansys Totem/Totem-SC verificano con accuratezza progetti analogici/mixed-signal di grandi dimensioni. Funzioni chiave come la gestione nativa del database digitale e la gestione gerarchica di blocchi analogici semplificano il flusso per un approccio di validazione "bottom-up" per ogni blocco e una analisi dei diversi stati funzionali a transistor level o macro-model per analisi a livello di top design. Le simulazioni basate su vettori di simulazioni o su approcci vector-less possono allineare gli stati funzionali in modo da imitare gli scenari di stress nel caso peggiore.

Ansys Totem/Totem-SC fornisce complete verifiche EM che includono l'analisi EM per net di alimentazione/segnale, la modellazione del riscaldamento joule-heating, l'accoppiamento delle interconnessioni e il self-heat nelle tecnologieFinFET e il loro impatto sulle interconnessioni. Il flusso è stato reso possibile da tutte le principali fonderie e utilizzato da tutti i clienti che progettano design basati su tecnologie FinFET. In Totem è inoltre possibile utilizzare il "Statistical EM Budgeting" per soddisfare le richieste di applicazioni automotive e di altre applicazioni mission-critical.

L'integrazione di un IP è una delle maggiori sfide affrontate dai progettisti SoC. Lo stesso IP che opera in due modalità diverse può sperimentare una caduta di tensione molto diversa. Totem e Totem-SC modellano e caratterizzano con precisione l'IP per diverse modalità di funzionamento nell'analisi del voltage-drop a livello di top. Il modello IP include proprietà elettriche e fisiche, insieme ad eventuali vincoli incorporati per la convalida dalla power integrity a livello SoC da parte di RedHawk-SC.

La GUI di Ansys Totem/Totem-SC offre funzionalità avanzate di query e debug, include mappe personalizzabili e viste di debug per aiutare a identificare e correggere i punti deboli della progettazione. Inoltre, consentono analisi "what-if" per apportare correzioni rapide al progetto prima di finalizzare le modifiche al progetto. Ciò velocizza notevolmente le iterazioni rispetto ai flussi tradizionali in cui le correzioni devono prima passare attraverso l'estrazione costosa di LVS e RC prima di eseguire l'analisi EM/IR.

  • Convalida EM "thermal-aware" per librerie di standard cell 
  • Generazione di viste termiche per l'analisi a livello SOC
  • RDSON ed EM signoff per grandi e complessi design RF e PMIC
  • Analisi dell'accoppiamento del rumore del substrato per progetti AMS/RF e PMIC
  • Verifiche ESD per HBM e CDM (con Ansys PathFinder™)
  • Analisi di power integrity e termica per configurazioni mulit chip/package (con RedHawk-SC Electrothermal)

Ansys Totem-SC è costruito sulla piattaforma di analisi dei big data SeaScape progettata per l'esecuzione nel cloud su migliaia di core CPU con scalabilità quasi lineare e capacità estremamente elevata con ridotti requisiti di memoria per core. Ciò offre a Totem-SC capacità estremamente elevate ed esecuzione rapida con memoria per core ridotta e avvio della computazione immediato.

Il software Ansys è accessibile

Per Ansys è fondamentale che tutti gli utenti, anche quelli disabili, possano accedere ai nostri prodotti. In quanto tale, ci impegniamo a rispettare i requisiti di accessibilità basati sull'Access Board degli Stati Uniti (Sezione 508), sulle linee guida per l'accessibilità dei contenuti Web (WCAG) e sul formato corrente del modello volontario di accessibilità dei prodotti (VPAT).

See What Ansys Can Do For You

Contact us today

* = Campo obbligatorio

Grazie per averci contattato!

Siamo qui per rispondere alle tue domande e non vediamo l'ora di parlare con te. Un membro del nostro team di vendita Ansys ti contatterà a breve.

Footer Image