Skip to Main Content

      

ANSYS BLOG

February 4, 2022

5G and Aircraft Safety Part 2: Simulating Altimeter Antenna Interference

Last month, air travel was disrupted by a U.S. Federal Aviation Administration (FAA) ruling on January 18 that forbade pilots from using auto-landing systems at 50 identified U.S. airports where Verizon and AT&T were setting up their new C-band 5G service towers. This order coincided with the service activation of those towers, radiating radio frequency (RF) power into those new bands that were quite close to the radio frequencies used by aircraft altimeter systems. For more of the history and background on the interference concerns, read part 1 of this blog series.

This computer simulation shows an aircraft landing through a C-Band 5G signal emitted from a base station near the airport. The orb below the aircraft represents the radar altimeter. Ansys, which created the simulation, is the leader in simulation software that is used by engineers to model these very types of scenarios so they can see and mitigate problems before physical products are made or deployed. This kind of modeling can also be used to set safety constraints on 5G transmitters at specific locations around airports or other locations of interest to public safety. It's a lot less expensive to tweak a system in the computer than it is to make costly updates to hardware after construction.

Since my previous writing, there have been two major developments:

1. The FAA reached an agreement with Verizon and AT&T to delay activation of C-band service for six months on base stations near 50 commercial airports with low-visibility approaches. According to an FAA statement released January 28, the service providers shared information on the exact locations of new 5G transmitters so that the FAA can study interference potential in more detail to shrink areas where the wireless carriers are allowed to deploy active transmitters. At this point, it appears that C-band towers within 2 miles of the designated airports are still inactive, and it’s not yet clear when AT&T and Verizon will plan to activate them. Verizon has indicated that this affects about 500 towers near airports, which is less than 10% of their total deployment of new C-band systems.

2. The FAA has worked to approve radar altimeter systems as well as commercial aircraft on which they are installed, to allow low-visibility landings at airports where 5G C-band services have been deployed, subject to the agreement with the 5G service providers. By the end of January, the FAA estimated that it had approved about 90% of the U.S. commercial aircraft, including most large commercial jets that incorporate one of the 20 approved radar altimeter units. However, some smaller airports which can only be served by smaller aircraft are still experiencing flight cancellations because the aircraft servicing them have not yet been cleared.

In the previous blog installment, I hinted at the possibility that many of these concerns could be addressed with simulation. In this installment I will examine an example.

The C-Band Spectrum at a Glance

Let’s review first the spectrum situation in the C-band part of the radio spectrum.

C-Band spectrum allocation showing the C-band 5G service channels (3.7-3.98 GHz) in proximity with the Aircraft Safety and Radar Systems band (4.0-4.4 GHz)

Figure 1 - C-Band spectrum allocation showing the C-band 5G service channels (3.7-3.98 GHz) in proximity with the Aircraft Safety and Radar Systems band (4.0-4.4 GHz)

The 5G service providers have purchased an allocation that covers three separate 5G channels:

  • 3.7-3.8 GHz: Currently being phased in for current C-band tower deployments. This band is the primary subject of concern because it is being made available now.
  • 3.8-3.9 GHz: Future 100 MHz of spectrum that will be added to further increase capacity.
  • 3.9-3.98 GHz: Future 80 MHz of spectrum that will likely be added after the first two 100 MHz bands have been fully deployed.

So far, only the lowest channel with the greatest channel spacing from the altimeter band is being considered, but the closer (future) 5G channels may create even higher potential for interference between the two systems.

The Anatomy of an Interference Analysis

The traditional method for determining whether interference exists has been to simply turn on the radios involved and measure the spectrum. In the case of 5G C-band interference with radar altimeters, this would involve turning on a tower near an airport, pushing peak traffic levels through the radio system, flying an aircraft through the airspace with a particular radar altimeter system, and taking many data samples. Undertaking real measurements is costly for many reasons:

  • Testing can only validate one radar altimeter at a time per test aircraft, and depending on antenna interaction with the host airframe, may only apply to one aircraft type at a time
  • Other signals within the 5G and radar altimeter band would need to be “quieted” so that measurements are not biased by contributions from other signals in the area
  • The airspace would need to be cleared of other aircraft while testing is conducted
  • Testing would apply to one 5G base station location at a time, and one airport at a time

These are just some factors that lead to a very high cost of validation through measurement.

With sufficient fidelity, simulation offers a very cost-effective and repeatable way to test and validate combinations of radar altimeters, host aircraft, C-Band 5G base station combinations and parameters, and airport locations. Let’s examine a worst-case interference analysis via simulation. In our case, we will use the Ansys Electronics Desktop, featuring the Ansys HFSS simulator for modeling antennas and their interactions with their local environment, and the Ansys Electromagnetic Interference Toolkit (EMIT) for modeling wideband interference potential between radio systems.

Interference scenario modeling can be broken down into three parts, as illustrated in Figure 2.

The major components of RF interference modeling and simulation

Figure 2 – The major components of RF interference modeling and simulation

In this case, we are concerned with a single 5G transmitter and a radar altimeter receiver. For purposes of this analysis, we won’t concern ourselves with interference in the other direction (from radar altimeter transmitter to the 5G receiver) but with Ansys EMIT it could be considered.

Setting up the Transmitter Model

The 5G base station model requires knowledge of its wideband electromagnetic emissions — both within its 5G channels and its out-of-band emissions. Any transmitter that carries messages in the RF signal has out-of-band emissions because of signal modulation, and the U.S Federal Communications Commission (FCC) and the International Telecommunication Union (ITU) set regulatory limits on the levels of signal transmitted by any licensed (or unlicensed) transmitter. The transmitter is fixed — sitting on the ground or on a fixed tower, but the antenna may have the ability to concentrate its energy in certain directions using a process called beam forming.

In the process of looking for interference potential, we study worst-case effects. In modeling the transmitter, we start with a peak power spectral mask, which shows the maximum power that is used at any frequency at any time. We can also capture effects like harmonics, intermodulation products, broadband noise, narrowband noise, and so forth, but one of the best ways to start is by using the industry regulatory requirements for maximum emissions. The ITU sets these standards to ensure safety to people and systems due to RF level exposures. For our examination, we have started by using the specifications for a Wide Area Coverage C-Band base station with a 16-by-16 array, as set forward in the 3GPP Specifications. (If you’re interested in digging into the details, you can find it here.) I should mention that telecom equipment providers may (and do) provide equipment with broadband noise performance that exceeds the values we used; we start with the requirement as this represents a worst-case for a compliant transmitter. In fact, in a supporting study to the FAA by the Radio Technical Commission for Aeronautics (RTCA), we found a number of helpful parameters for defining the 5G radio emissions mask.

Figure 3 shows the 5G transmitter emission models used in our simulations, and we considered the currently available band at 3.7-3.8 GHz, in addition to the proposed future bands at 3.8-3.9 GHz and 3.9-3.98 GHz.

The wideband emissions mask specification for the 5G C-band transmitters. Current implements involve only the 100 MHz band from 3.7-3.8 GHz, but future spectrum has been purchased by telecom providers for the 100 MHz band at 3.8-3.9 GHz and the 80 MHz band from 3.9-3.98 GHz.

Figure 3 – The wideband emissions mask specification for the 5G C-band transmitters. Current implements involve only the 100 MHz band from 3.7-3.8 GHz, but future spectrum has been purchased by telecom providers for the 100 MHz band at 3.8-3.9 GHz and the 80 MHz band from 3.9-3.98 GHz.

Setting up the Receiver Model

The radar altimeter receiver also has a wideband performance characteristic. While it is designed to operate in the 4.2-4.4 GHz band, it can suffer degraded performance if other radios put sufficiently strong emissions into this band. In addition, it is potentially susceptible to radiation outside this band of operation. Radio system designers often look at wideband receiver performance with a metric called susceptibility, which is generally a measure of how well a receiver can reject RF signals at any frequency. Within its band of operation, a receiver is intended to be very sensitive, therefore its susceptibility is very low. Outside its channel of operation, it is designed to be insensitive to incoming signals, so its susceptibility is very high at out-of-band frequencies.

A particular challenge in receiver design is balancing in-band or in-channel susceptibility with out-of-band susceptibility. A receiver might be very sensitive to signals within its band, but a consequence of this sensitivity may be that it can be overloaded by an out-of-band signal that is so strong that it defeats the receiver’s ability to reject it, resulting in a condition known as saturation.

Because saturation events can happen with strong transmission sources near our receiver, any good interference simulation needs to consider the receiver’s sensitivity and saturation characteristics for both the in-channel and the out-of-band signals.

While researching radar altimeter performance models, we found that there are wide performance variations. Arguably the best altimeter systems are used for commercial passenger aircraft, and indeed this is reflected in the types of aircraft that have now been approved for landing at the designated airports under low-visibility conditions. In our effort to develop a model for this demonstration, we looked for a “middle of the road” system to represent the radar altimeter susceptibility.

To formulate our model, we found a useful resource in the RTCA study, choosing an altimeter with good wideband characteristics (to yield the best altitude measurement resolution), along with a “reasonably good” receiver saturation level of -10 dBm. This means that the radar should have reasonable performance to reject signals outside of its intended frequency of operation. Figure 4 shows the receiver susceptibility model that we are using for this interference study, based on parameters listed in the RTCA study.

Receiver susceptibility of a candidate Radar Altimeter operating at center frequency of 4.3 GHz. Most high-resolution aviation altimeters use 170 MHz of spectrum for measuring range from aircraft to ground.

Figure 4 - Receiver susceptibility of a candidate radar altimeter operating at center frequency of 4.3 GHz. Most high-resolution aviation altimeters use 170 MHz of spectrum for measuring range from aircraft to ground.

Antenna-to-Antenna Coupling

Modeling interference accurately depends upon having accurate models for coupling the power coming out of the transmitter to the receiver — across the entire band. This is important for both in-channel coupling as well as out-of-band emissions coupling. Measurement of antenna coupling is challenging because of the spacing between the antennas and the fact that the radar altimeter antenna is in continual motion.

The wireless channel of Figure 2 consists of the 5G transmit antenna and its characteristics for focusing power in a given direction, the radar altimeter antenna and its directional gain sensitivity, and the propagation (and loss) of the signal as it travels between the two antennas. For accurate antenna performance, Ansys HFSS can be used to accurately predict the antenna behavior through electromagnetic simulation, to capture beamforming performance and the way the antenna’s host platform interaction modifies the antenna’s performance. Figure 5 shows a notional dual-band 5G antenna unit and a candidate radar altimeter antenna design under an airliner airframe as simulated by HFSS and HFSS SBR+.

Ansys HFSS models use electromagnetic physics to simulate the antenna radiation characteristics for a notional 5G radio antenna (left) and an installed radar altimeter antenna on a large commercial aircraft

Figure 5 – Ansys HFSS models use electromagnetic physics to simulate the antenna radiation characteristics for a notional 5G radio antenna (left) and an installed radar altimeter antenna on a large commercial aircraft

As you can observe from the patterns shown, the antennas can direct energy in very specific directions. Therefore, the beam steering control of the 5G antenna will be important, as will the location and orientation of the aircraft during landing and takeoff. If the aircraft rolls during these phases of the flight due to turbulence or other actions, the antenna’s sensitivity region will roll with it.

Finally, the link between the antennas must be accurately determined, and this can be computed using standard propagation loss formulas or by using an electromagnetic analysis solution like HFSS SBR+. For our purposes here, we will use a propagation loss model. Ansys EMIT can also include the effects of water vapor, rain, rain rate, and fade effects if desired. Because these effects would only introduce additional losses which would reduce interference, we’ll leave them out for now.

With EMIT, the antenna characteristics and the wireless propagation between them is simulated at all frequencies and used for the chain calculation depicted in Figure 2.

Interference Assessment: Putting the Ingredients Together

We would like to conduct a test to see whether either in-band interference or out-of-band interference could be experienced by the radar altimeter due to a 5G transmitter near the airport. This involves an analysis using worst-case coupling between the systems, as well as reasonable candidate designs for the 5G transmitter and radar altimeter receiver. We need a few more details to round out the scenario.

Distance from 5G base station to airport runway approach400 m
Height of 5G base station40 m
Base station antenna gain22 dBi (pointed at aircraft)
Radar altimeter antenna gain11 dBi (aircraft rolling, pointing at 5G base station)
Aircraft altitude100 m

This represents a worst case, representing a base station with high power, focusing a beam at the landing aircraft, which is rolling in such a way as to place the peak of the radar altimeter radiation pattern on the base station. However, when setting standards, or studying critical keep-out zones for radiating towers, this is the type of analysis that one needs to use. Any of the parameters in this analysis can be changed at any time to quickly assess interference mitigation strategies.

Let’s examine the results for the initial C-Band service rollout in the 100 MHz band from 3.7-3.8 GHz. Figure 6 shows the result of our investigation. The black curve gives us a view of what is going on in the receiver and measures the difference between the transmitted power at each frequency and the receiver’s ability to reject that energy (receiver susceptibility). If this value goes above zero (the red line), we have an interference event because the receiver can’t reject that energy at that frequency. We can also place threshold values to watch for frequencies where we are getting close to an interference event. The plot suggests that the 5G transmitter out-of-band emissions are creating strong interference potential (for our environment conditions) within the receive band of the radar altimeter. The in-band radiation (3.7-3.8 GHz) of the 5G transmitter is close, but not exceeding the receiver saturation so this is not causing interference.

EMI margin analysis for the current C-Band service implementation for our sample scenario. The out-of-band emissions from the 5G base station causes in-band interference to the radar altimeter antenna in regions where the black curve exceeds the red line. 5G emissions will need to be reduced by at least 15.3 dB to mitigate the interference.

Figure 6 – Elecromagnetic interference margin analysis for the current C-Band service implementation for our sample scenario. The out-of-band emissions from the 5G base station causes in-band interference to the radar altimeter antenna in regions where the black curve exceeds the red line. 5G emissions will need to be reduced by at least 15.3 dB to mitigate the interference.

The service providers have spent a great deal of money on all three of these channels, and eventually will want to enable service on the additional 180 MHz contained in the two bands above the current operational band. What happens when these bands are enabled in the future against this radar altimeter in our worst-case scenario?

Figure 7 shows that we face basically the same problem for the next 100 MHz band (3.8-3.9 GHz). However, the plot on the right shows that a new problem crops up if the last 80 MHz band (3.9-3.98 GHz) is activated. The interference appears to be due to the 5G emissions mask putting higher power levels into a part of the spectrum where the altimeter receiver has reduced rejection, and strong interference exists here which will require at least an additional 25 dB of 5G signal reduction over the lower two channels to ensure coexistence.

EMI margin analysis for the future C-Band channels (3.8-3.9 GHz on left, and 3.9-3.98 GHz on right) for our sample scenario. The out-of-band emissions from the 5G base station causes in-band interference to the radar altimeter antenna in regions where the black curve exceeds the red line. In-band interference potential is shown for the 3.8-3.9 GHz channel, whereas very strong out-of-band interference in the Radar Altimeter receiver is expected to cause receiver saturation from the 3.9-3.98 GHz channel.

Figure 7 – Electromagnetic interference margin analysis for the future C-Band channels (3.8-3.9 GHz on left, and 3.9-3.98 GHz on right) for our sample scenario. The out-of-band emissions from the 5G base station causes in-band interference to the radar altimeter antenna in regions where the black curve exceeds the red line. In-band interference potential is shown for the 3.8-3.9 GHz channel, whereas very strong out-of-band interference in the radar altimeter receiver is expected to cause receiver saturation from the 3.9-3.98 GHz channel.

How to Fix 5G C-Band Airport Issues

Granted, this was a worst-case scenario, but it illustrates the capability to examine variants of these scenarios very quickly and with good detail. Several strategies exist, and we could test several of them in our simulation workflow. Some possible strategies could include one or more of the following measures:

  1. Placement of 5G base stations away from airports, creating a “keep out” zone. This is the current strategy being used by the service providers today in cooperation with the FAA for the next six months while they continue to study the problem.
  2. Limiting the pointing angle of 5G base station antenna beams to reduce the effective power transmitted in the direction of any flight path near an airport.
  3. Reducing total transmitted power at the 5G base station.
  4. Increasing the selectivity and the saturation level of radar altimeter receivers and requiring the modification or replacement of existing altimeters that do not meet performance requirements.
  5. Incorporating a low-pass or band-pass filter on the output of the 5G transmitting elements.

Ansys EMIT can be used to evaluate these mitigation strategies quickly — without requiring a single hour of flight time. For example, if we add a low-pass filter to the 5G transmitting elements (which we could easily design and synthesize using the Ansys Nuhertz FilterSolutions software), we could explore the impact of a filter on reducing the 5G system’s out of band emissions on the radar altimeter. With a low-pass filter added to the 5G transmitter chain in EMIT (1 dB of in-band loss, 40 dB of rejection above 4 GHz), we see an immediate improvement — the interference is eliminated. Figure 8 shows the EMI margin plot with the filter in place, showing that we have 5.2 dB of “head room” before interference occurs at any frequency.

Adding a low-pass filter to the 5G Base Station transmitting elements has eliminated interference for the use of the 3.7-3.8 GHz 5G channel on the radar altimeter.

Figure 8 – Adding a low-pass filter to the 5G base station transmitting elements has eliminated interference for the use of the 3.7-3.8 GHz 5G channel on the radar altimeter.

You may wonder whether we use simulation to examine (and validate) specific radar altimeters against specific 5G base station installations at specific airports. Interference potential is a dynamic phenomenon, and the situation changes from moment to moment as the aircraft lands or takes off. In our next blog installment, we’ll hook up this interference modeling machinery to our Ansys AGI STK flight simulation capabilities and show you what the interference looks like during a landing or takeoff when dynamic motion, position, and orientations are considered at a prospective airport setting.

Visit the Ansys HFSS and avionics pages for more information. 

See What Ansys Can Do For You

Contact us today

* = Required Field

Thank you for reaching out!

We’re here to answer your questions and look forward to speaking with you. A member of our Ansys sales team will contact you shortly.

Footer Image